Search This Blog.......

Thursday, August 29, 2013

Tuesday, August 27, 2013

Monday, August 26, 2013

Dcs practical exprmnt-2 full subtractor behavorial

Full Subtractor in VHDL behavorial

library ieee;
use ieee.std_logic_1164.all;

entity fs is
port(a,b,bin:in bit;dif,bor:out bit);
end fs;

architecture behave of fs is
begin
process(a,b,bin)
begin
if(((a='0') and (b='0') and (bin='0')) or ((a='1') and (b='0') and
(bin='1')) or((a='1') and (b='1') and (bin='0'))) then
dif<='0';
bor<='0';
elsif(((a='0') and (b='0') and (bin='1')) or ((a='0') and (b='1') and
(bin='0')) or((a='1') and (b='1') and (bin='1'))) then
dif<='1';
bor<='1';
elsif((a='0') and (b='1') and (bin='1')) then
dif<='0';
bor<='1';
elsif((a='1') and (b='0') and (bin='0')) then
dif<='1';
bor<='0';
end if;
end process;
end behave;

D.C.S Lab-VHDL half subtractor

Half Subtractor in VHDL behavorial

library ieee;
use ieee.std_logic_1164.all;

entity hs is
port(a,b:in bit;dif,bor:out bit);
end hs;

architecture behaviour of hs is
begin
process(a,b)
begin
if(((a='0') and (b='0')) or((a='1') and (b='1'))) then
dif<='0';
bor<='0';
elsif(a='0') and (b='1') then
dif<='1';
bor<='1';
else
dif<='1';
bor<='0';
end if;
end process;
end behaviour;

Sunday, August 25, 2013

Saturday, August 24, 2013

Csc-II practical P.C.M. Modulation n Demodulation

Transmitter kit!

Reciever kit!

Demodulation ckt diagram


Dcs practical-II vhdl half adder behavioral

Half Adder Behavorial

library ieee;
use ieee.std_logic_1164.all;
entity ha2 is
port(a,b:in bit;s,c:out bit);
architecture bhv of ha2 is
begin
process (a,b)
begin
if a='o' and b='o'
then s<='0',c<='0';
elsif a='0' and b='1'
then s<='1', c<='0';
elsif a='1'and b='0'
then s<='1', c<='0';
else s<='1', c<='1';
end if;
end process;
end bhv;